丁香婷婷网,黄色av网站裸体无码www,亚洲午夜无码精品一级毛片,国产一区二区免费播放

現(xiàn)在位置:范文先生網(wǎng)>理工論文>電子通信論文>Vxworks嵌入式操作系統(tǒng)下網(wǎng)絡(luò)設(shè)備驅(qū)動(dòng)程序設(shè)計(jì)

Vxworks嵌入式操作系統(tǒng)下網(wǎng)絡(luò)設(shè)備驅(qū)動(dòng)程序設(shè)計(jì)

時(shí)間:2023-02-20 23:37:19 電子通信論文 我要投稿
  • 相關(guān)推薦

Vxworks嵌入式操作系統(tǒng)下網(wǎng)絡(luò)設(shè)備驅(qū)動(dòng)程序設(shè)計(jì)

作者Email:  cai_yang@etang.com

摘 要:本文主要介紹在Vxworks操作系統(tǒng)下網(wǎng)絡(luò)設(shè)備驅(qū)動(dòng)程序設(shè)計(jì)、調(diào)試方法以及將其加入系統(tǒng)內(nèi)核的配置方法。

關(guān)鍵詞:Vxworks  嵌入式操作系統(tǒng) 網(wǎng)絡(luò)設(shè)備

引 言
  VxWorks操作系統(tǒng)是美國(guó)WindRiver公司于1983年設(shè)計(jì)開(kāi)發(fā)的一種嵌入式實(shí)時(shí)操作系統(tǒng)(RTOS),是嵌入式開(kāi)發(fā)環(huán)境的關(guān)鍵組成部分。良好的持續(xù)發(fā)展能力、高性能的內(nèi)核以及友好的用戶(hù)開(kāi)發(fā)環(huán)境,在嵌入式實(shí)時(shí)操作系統(tǒng)領(lǐng)域占據(jù)一席之地。它以其良好的可靠性和卓越的實(shí)時(shí)性被廣泛地應(yīng)用在通信、軍事、航空、航天等高精尖技術(shù)及實(shí)時(shí)性要求極高的領(lǐng)域中,如衛(wèi)星通訊、軍事演習(xí)、彈道制導(dǎo)、飛機(jī)導(dǎo)航等。
  

1 嵌入式系統(tǒng)
  嵌入式系統(tǒng)是以嵌入式計(jì)算機(jī)為技術(shù)核心,面向用戶(hù)、面向產(chǎn)品、面向應(yīng)用,軟硬件可裁減的,適用于對(duì)功能、可靠性、成本、體積、功耗等綜合性嚴(yán)格要求的專(zhuān)用計(jì)算機(jī)系統(tǒng)。和通用計(jì)算機(jī)不同,嵌入式系統(tǒng)是針對(duì)具體應(yīng)用的專(zhuān)用系統(tǒng),目的就是要把一切變得更簡(jiǎn)單、更方便、更普遍、更適用;它的硬件和軟件都必須高效率地設(shè)計(jì),量體裁衣、去除冗余,力爭(zhēng)在同樣的硅片面積上實(shí)現(xiàn)更高的性能。

  嵌入式系統(tǒng)主要由嵌入式處理器、外圍硬件設(shè)備、嵌入式操作系統(tǒng)以及特定的應(yīng)用程序等四部分組成,是集軟硬件于一體的可獨(dú)立工作的“器件”;用于實(shí)現(xiàn)對(duì)其它設(shè)備的控制、監(jiān)視或管理等功能。

  嵌入式系統(tǒng)應(yīng)具有的特點(diǎn)是:要求高可靠性;在惡劣的環(huán)境或突然斷電的情況下,要求系統(tǒng)仍然能夠正常工作;許多嵌入式應(yīng)用要求實(shí)時(shí)處理能力,這就要求嵌入式操作系統(tǒng)(EOS)具有實(shí)時(shí)處理能力;嵌入式系統(tǒng)中的軟件代碼要求高質(zhì)量、高可靠性,一般都固化在只讀存儲(chǔ)器中或閃存中,也就是說(shuō)軟件要求固態(tài)化存儲(chǔ),而不是存儲(chǔ)在磁盤(pán)等載體中。

2 設(shè)備驅(qū)動(dòng)程序

Vxworks5.4中驅(qū)動(dòng)程序主要分為三種:字符、塊以及網(wǎng)絡(luò)驅(qū)動(dòng)程序。本文所介紹的網(wǎng)卡驅(qū)動(dòng)程序則屬于網(wǎng)絡(luò)設(shè)備驅(qū)動(dòng)程序。

2.1 網(wǎng)絡(luò)設(shè)備驅(qū)動(dòng)程序設(shè)計(jì)

網(wǎng)絡(luò)的各功能部件圖1所示,網(wǎng)絡(luò)設(shè)備驅(qū)動(dòng)程序?qū)嶋H上是處理硬件和上層協(xié)議之間的接口程序。網(wǎng)絡(luò)傳輸協(xié)議層分發(fā)數(shù)據(jù)在應(yīng)用程序接口和網(wǎng)絡(luò)接口之間。網(wǎng)絡(luò)化網(wǎng)絡(luò)協(xié)議(如IP協(xié)議)發(fā)送數(shù)據(jù)在網(wǎng)絡(luò)主機(jī)之間。連接/接口層使能主機(jī)隸屬于硬件到相同物理媒質(zhì)的通信。

在Vxworks5.4中,網(wǎng)卡驅(qū)動(dòng)程序又分為END(Enhanced Network Driver)和BSD兩種。它們分別處于如圖2所示結(jié)構(gòu)中。

2.1.1 BSD驅(qū)動(dòng)程序設(shè)計(jì)

在Vxworks5.4中,網(wǎng)絡(luò)驅(qū)動(dòng)程序都是基于BSD UNIX版本4.3基礎(chǔ)上的,這些驅(qū)動(dòng)程序都定義在一個(gè)全局例程中,那就是attach子程序,xxattach( )子程序中包含5個(gè)函數(shù)指針,它們都被映射到ifnet結(jié)構(gòu)中,這5個(gè)函數(shù)可見(jiàn)表1,它們?cè)贗P協(xié)議層任何地方被調(diào)用。

表1 網(wǎng)絡(luò)接口處理

驅(qū)動(dòng)程序指定函數(shù)

函數(shù)指針

功能

xxInit( )

if_init

初始化接口

xxOutput( )

if_output

對(duì)要傳輸?shù)妮敵龇纸M進(jìn)行排隊(duì)

xxIoctl( )

if_ioctl

處理I/O控制命令

xxReset( )

if_reset

復(fù)位接口設(shè)備

xxWatchdog( )

if_watchdog (optional)

周期性接口例程

驅(qū)動(dòng)程序入口xxattach( )調(diào)用ether_attach( )來(lái)把上述5個(gè)函數(shù)映射到ifnet結(jié)構(gòu)中,ether_attach( )調(diào)用如下:

ether_attach(
    (IFNET *) & pDrvCtrl->idr,
    unit,
    "xx",
    (FUNCPTR) NULL,
    (FUNCPTR) xxIoctl,
    (FUNCPTR) ether_output( ), /* generic ether_output */
    (FUNCPTR) xxReset
);
pDrvCtrl->idr.ac_if.if_start = (FUNCPTR)xxTxStartup;
上述參數(shù)中,需要一個(gè)接口數(shù)據(jù)記錄(Interface Data Record (idr)),unit號(hào)和設(shè)備名,下面四個(gè)參數(shù)就是相關(guān)驅(qū)動(dòng)程序的函數(shù)指針。第一個(gè)函數(shù)指針指的是init( )例程,這個(gè)例程可要可不要,第二個(gè)函數(shù)指針指的是ioctl( )接口,它允許上層來(lái)控制設(shè)備狀態(tài);第三個(gè)函數(shù)指針指的是把數(shù)據(jù)包送到物理層;最后一個(gè)函數(shù)指針指的是如果TCP/IP堆棧決定需要復(fù)位的話(huà),它就復(fù)位這個(gè)設(shè)備。

接著下面那一句代碼表示添加數(shù)據(jù)傳輸例程到IDR,ether_output( )例程被調(diào)用后,傳輸開(kāi)始例程就被TCP/IP協(xié)議堆棧調(diào)用。

在這個(gè)入口驅(qū)動(dòng)程序中還包括設(shè)備的初始化、發(fā)送和接收描述符的初始化等。

2.1.2 END驅(qū)動(dòng)程序設(shè)計(jì)

END驅(qū)動(dòng)程序是基于MUX模式,網(wǎng)絡(luò)驅(qū)動(dòng)程序被劃分為協(xié)議組件和硬件組件。MUX數(shù)據(jù)鏈路層和網(wǎng)絡(luò)層之間的接口,它管理網(wǎng)絡(luò)協(xié)議接口和低層硬件接口之間的交互;將硬件從網(wǎng)絡(luò)協(xié)議的細(xì)節(jié)中隔離出來(lái);刪除使用輸入鉤例程來(lái)過(guò)濾接收從協(xié)議來(lái)的數(shù)據(jù)包,和刪除了使用輸出鉤例程來(lái)過(guò)濾協(xié)議包的發(fā)送;并且鏈路層上的驅(qū)動(dòng)程序需要訪(fǎng)問(wèn)網(wǎng)絡(luò)層(IP或其他協(xié)議)時(shí),也會(huì)調(diào)用相關(guān)的MUX例程。值得注意的是,網(wǎng)絡(luò)層協(xié)議和數(shù)據(jù)鏈路層驅(qū)動(dòng)程序不能直接通訊,它們必須通過(guò)MUX。如圖3所示: 

 

2.3將驅(qū)動(dòng)程序加載到Vxworks系統(tǒng)中

要對(duì)所設(shè)計(jì)的驅(qū)動(dòng)程序進(jìn)行測(cè)試,首先就必須把驅(qū)動(dòng)程序加載到Vxworks IMAGE中,并且給設(shè)備分配一個(gè)IP,這樣才能有利于網(wǎng)間測(cè)試。

首先,修改configNet.h文件,添加如下代碼:

#ifdef INCLUDE_DM_9102_END

#define DM_9102_BUFF_LOAN_0      1

#define DM_9102_LOAD_FUNC        sysDm9102EndLoad

#define DM_9102_LOAD_STR_0       ""

IMPORT END_OBJ * DM_9102_LOAD_FUNC (char *, void *);

和END_TBL_ENTRY endDevTbl [] 中添加

#ifdef INCLUDE_DM_9102_END

    {0, DM_9102_LOAD_FUNC, DM_9102_LOAD_STR_0, TRUE, NULL, FALSE},

#endif /* INCLUDE_DM_9102_END */

其次,編輯config.h文件,添加如下代碼:

#define INCLUDE_DM_9102_END      /* Davicom 9102 Fast Ethernet Controller */

最后,編輯sysLib.c文件,添加如下代碼:

/* include dm9102 End driver support routines */

#ifdef INCLUDE_DM_9102_END

IMPORT STATUS sysDm9102PciInit (void);

#endif /* INCLUDE_DM_9102_END */

 

/* include dm9102End driver support routines */

#ifdef INCLUDE_DM_9102_END

#include "sysDm9102End.c"

#endif /* INCLUDE_DM_9102_END */

#ifdef INCLUDE_DM_9102_END

   sysDm9102PciInit ();

#endif /* INCLUDE_DM_9102_END */

通過(guò)上述過(guò)程相應(yīng)的添加程序,然后重新編譯Vxworks,這樣就將所設(shè)計(jì)的網(wǎng)卡驅(qū)動(dòng)程序添加到Vxworks內(nèi)核中了。

2.4 PCI設(shè)備檢測(cè)

如果所設(shè)計(jì)的網(wǎng)卡是基于PCI總線(xiàn)的,那么在程序開(kāi)始就需要對(duì)PCI設(shè)備進(jìn)行檢測(cè),在Vxworks5.4中有專(zhuān)門(mén)的PCI函數(shù)來(lái)檢測(cè)設(shè)備的總線(xiàn)號(hào)、設(shè)備號(hào)和功能號(hào)。首先利用pciFindDevice( )函數(shù)對(duì)給定VendorID和DeviceID的設(shè)備進(jìn)行檢測(cè),檢測(cè)完后同時(shí)給出了設(shè)備的總線(xiàn)號(hào)、設(shè)備號(hào)和功能號(hào);接下來(lái)就是獲得該設(shè)備的中斷號(hào)、基地址(包括IO和內(nèi)存)。Vxworks中pciConfigLib.h文件中定義PCI總線(xiàn)的常量。如中斷號(hào):PCI_CFG_BRG_INT_LINE,IO基地址:PCI_CFG_BASE_ADDRESS_0,內(nèi)存基地址:PCI_CFG_BASE_ADDRESS_1等等。所以利用函數(shù)pciConfigInByte和pciConfigInLong就可以很容易地獲得設(shè)備的中斷號(hào)和基地址。

2.5 調(diào)試方法

為了方便調(diào)試網(wǎng)卡驅(qū)動(dòng)程序,推薦利用串口對(duì)程序進(jìn)行下載并將Vxworks image拷貝到軟盤(pán)中以從軟盤(pán)來(lái)加載它。調(diào)試的時(shí)候首先應(yīng)該給網(wǎng)卡分配一個(gè)IP(利用usrNetIfConfig函數(shù)),然后利用ping來(lái)對(duì)網(wǎng)卡進(jìn)行測(cè)試。

3  結(jié)語(yǔ)

  利用上述方法所設(shè)計(jì)的網(wǎng)卡后,不久可以利用它來(lái)進(jìn)行程序下載,而且能滿(mǎn)足網(wǎng)卡所有的功能,包括對(duì)TCP/IP和UDP/IP(組播、廣播和單播)進(jìn)行了測(cè)試。


【Vxworks嵌入式操作系統(tǒng)下網(wǎng)絡(luò)設(shè)備驅(qū)動(dòng)程序設(shè)計(jì)】相關(guān)文章:

VxWorks下PC/104-CAN驅(qū)動(dòng)程序設(shè)計(jì)08-06

QNX操作系統(tǒng)及網(wǎng)絡(luò)設(shè)備驅(qū)動(dòng)模塊08-06

嵌入式操作系統(tǒng)VxWorks中TFFS文件系統(tǒng)的構(gòu)建08-06

基于Vxworks實(shí)時(shí)操作系統(tǒng)的串口通信程序設(shè)計(jì)與實(shí)現(xiàn)08-19

基于嵌入式操作系統(tǒng)VxWorks的SCSI硬盤(pán)高速記錄系統(tǒng)08-06

嵌入式操作系統(tǒng)uCLinux08-06

WindowsCE.Net下CAN卡的驅(qū)動(dòng)程序設(shè)計(jì)08-06

windows nt環(huán)境下fddi網(wǎng)卡驅(qū)動(dòng)程序設(shè)計(jì)08-06

在VxWorks下實(shí)現(xiàn)NAT/NAPT的方法08-06